vhdl 计数器

时间:2016-05-13 09:28:09
【文件属性】:
文件名称:vhdl 计数器
文件大小:567B
文件格式:VHD
更新时间:2016-05-13 09:28:09
vhdl ,cnt library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;

网友评论