QPSK调制系统的FPGA实现

时间:2020-04-16 15:07:37
【文件属性】:
文件名称:QPSK调制系统的FPGA实现
文件大小:343KB
文件格式:PDF
更新时间:2020-04-16 15:07:37
QPSK, FPGA, Verilog, 数字电路 基于Verilog语言 ,用 FPGA设计实现一种QPSK调制系统,生成一个5级m序列作为输入信号进行测试,为输入信号进行测试,并在Chipscope中观察各信号波形,分析该系统的正确性。

网友评论