verilog实现的RAM程序

时间:2013-03-23 09:00:02
【文件属性】:
文件名称:verilog实现的RAM程序
文件大小:666B
文件格式:V
更新时间:2013-03-23 09:00:02
verilog RAM 此程序是用verilog编写的RAM模块,各种信号都很全,已经通过仿真验证。

网友评论

  • 一点用都没有,和我另外下的一模一样,就50行代码,另一个还花了我48积分,现在这里又浪费16个。
  • 太简单了,有瑕疵,不适合应用。
  • 有一点点价值
  • 有那么一点参考价值
  • 有参考价值,谢谢分享!
  • 很有用,很好
  • 可以吧,只能做参考
  • 有参考价值,不错
  • 不详细,内容简单
  • 可以参考,资料不详细,希望能完整,这样对我这样的菜鸟更容易上手,不过谢谢了
  • 还行,不过用IP更简单
  • 这个主要是用来学习一下,要用的话当然首选ip核。
  • 有一点的参考价值,不过貌似用IP core更简单吧
  • 比较有参考价值,但是不够详细~~~