文件名称:十进制计数与显示电路的设计与仿真
文件大小:560KB
文件格式:DOC
更新时间:2014-06-07 05:00:35
计数
十进制计数器、数码管扫描显示电路 主要程序如下: LED显示程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity tled is port(din: in std_logic_vector(7 downto 0); sn: out std_logic_vector(2 downto 0); clk: in std_logic; LED7: out std_logic_vector(6 downto 0)); end tled;