VHDL数字时钟 EDA 设计 电子钟

时间:2011-12-15 10:40:10
【文件属性】:
文件名称:VHDL数字时钟 EDA 设计 电子钟
文件大小:4KB
文件格式:RAR
更新时间:2011-12-15 10:40:10
vhdl eda 数字时钟 较时 cpld VHDL 数字时钟源代码 具有较时功能,带秒表 分频,电子自动化设计练习
【文件预览】:
Myshizhong
----fp.vhd(1KB)
----counter100.vhd(849B)
----adjuster.vhd(2KB)
----shizhong.vhd(7KB)
----counter60.vhd(881B)
----counter24.vhd(2KB)

网友评论

  • 文章对我很有用,谢谢
  • 思路可参考!
  • 基本可以明白内容 对实验有很大帮助
  • 为了解决课程论文,down的。