FPGA的数字时钟设计(VHDL语言编写)

时间:2015-07-08 16:10:26
【文件属性】:
文件名称:FPGA的数字时钟设计(VHDL语言编写)
文件大小:19KB
文件格式:DOC
更新时间:2015-07-08 16:10:26
FPGA的数字时钟设计(VHDL语言编写) 时钟功能:完成分/时的正确计数并显示,由于数码管数目的限制,用发光二极管提示秒; 闹钟定时:可设置一组闹钟,并可在需要时将其关闭,利用二极管的发光代替扬声器的发声; 时钟校时:可以分别对分/时钟进行调整; 整点响铃:整点前十秒起发出提示音,利用二极管的发光代替扬声器的发声。

网友评论

  • 无用,就一个word文档