FPGA/Verilog四人抢答器

时间:2022-01-06 11:20:19
【文件属性】:
文件名称:FPGA/Verilog四人抢答器
文件大小:23.92MB
文件格式:RAR
更新时间:2022-01-06 11:20:19
Verilog FPGA 抢答器 硬件编程 1.设计用于竞赛抢答的四人抢答器。 (1)有多路抢答,抢答台数为4; (2)抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,发出报警信号; (3)能显示超前抢答台号并显示犯规警报。 2.系统复位后进入抢答状态,当有一路抢答按键按下,该路抢答信号将其余各路抢答信号*,同时铃声响起,直至该路按键松开,显示该路抢答台号。 3.用Verilog HDL语言设计符合上述功能要求的四人抢答器,并用层次化设计方法设计该电路。

网友评论

  • 还可以就是没有具体的说明