基于FPGA的DAC0832接口电路及程序设计

时间:2015-07-28 07:11:45
【文件属性】:
文件名称:基于FPGA的DAC0832接口电路及程序设计
文件大小:71KB
文件格式:ZIP
更新时间:2015-07-28 07:11:45
FPGA DAC0832 接口电路 程序设计 1.DAC0832是采用CMOS/Si-Cr工艺实现的8位D/A转换器。 2.该芯片包含8位输入寄存器、8位DAC寄存器、8位D/A转换器。 3.DAC0832中有两级锁存器,第一级即输入寄存器,第二级即DAC寄存器,可以工作在双缓冲方式下。
【文件预览】:
8.6 DAC0832接口电路及程序设计.ppt

网友评论

  • 基础的设计,很有用
  • 东西太少了,都是书上的。