6层电梯控制器VHDL

时间:2013-01-29 20:45:29
【文件属性】:
文件名称:6层电梯控制器VHDL
文件大小:40KB
文件格式:DOC
更新时间:2013-01-29 20:45:29
电梯控制器 VHDL FPGA 介绍了基于FPGA的电梯控制器的总体设计方案,阐述了其内部功能模块的工作原理,基于状态机的原理,利用VHDL语言对各功能模块进行了编程、编译、仿真,并下载到实验箱上进行了验证。结果表明,该电梯控制器按照方向优先的原则可为四个楼层提供载客服务,并具有电梯运行情况指示功能。文中使用的设计方法不仅简化了电路设计、节约了设计成本,而且提高了控制器的可靠性、稳定性和灵活性。

网友评论

  • 这个电路的组合控制端有毛刺产生,输出应该用寄存器
  • 这个电路的组合控制端有毛刺产生,输出应该用寄存器
  • 这个电路的组合控制端有毛刺产生,输出应该用寄存器