vhdl实现各种乘法器

时间:2013-02-25 14:24:02
【文件属性】:
文件名称:vhdl实现各种乘法器
文件大小:32KB
文件格式:RAR
更新时间:2013-02-25 14:24:02
VHDL 源代码 VHDL实现各种乘法器的源代码,也是同学传给我的,给大家参考一下
【文件预览】:
各种乘法器
----64位带符号数乘法器(1级流水).txt(23KB)
----64位带符号数乘法器(2级流水).txt(26KB)
----补码一位乘16位乘法器.txt(7KB)
----64位带符号数乘法器(3级流水).txt(27KB)
----补码两位乘16位乘法器.txt(8KB)
----64位纯组合逻辑带符号数乘法器.txt(23KB)
----64位带符号数乘法器(4级流水).txt(29KB)
----原码一位乘16位乘法器.txt(6KB)
----原码两位乘16位乘法器.txt(9KB)

网友评论

  • 内容丰富,但是没有使用说明