32位加法器 verilog代码

时间:2016-01-19 05:40:03
【文件属性】:
文件名称:32位加法器 verilog代码
文件大小:4KB
文件格式:ZIP
更新时间:2016-01-19 05:40:03
32位加法器 verilog 进位选择 32位加法器 verilog代码 其中还包含全加器、四位加法器的代码
【文件预览】:
src
----adder_max.v.bak(455B)
----complement_adder_tb.v(369B)
----pipeline_adder_tb.v(742B)
----full_adder_tb.v(383B)
----add_4bits.v(379B)
----full_adder.v.bak(311B)
----full_adder.v(318B)
----adder_32bits.v(664B)
----adder_32bits_tb.v(657B)
----adder_max.v(437B)
----adder_4bits_tb.v(550B)

网友评论

  • 还可以凑合吧
  • 竟然还有语法错误,醉了