可控计数器(eda设计)

时间:2014-07-03 04:12:07
【文件属性】:
文件名称:可控计数器(eda设计)
文件大小:3KB
文件格式:TXT
更新时间:2014-07-03 04:12:07
课程设计 1,设计一个五进制的计数器,由三个控制键SEL控制不同的计数方式。 2,当 sel=000时,按0,1,2,3,4,0,1,2,3,4.。。 3,当sel=001时,按0,2,4,6,8,0,2,4,6,8 。。 4,当sel=010时,按1,3,5,7,9,1,3,5,7,9。。。 5,当sel=011时,按5,4,3,2,1,5,4,3,2,1。。。 6,当sel=100时,按 0,1,3,6,9,0,1,3,6,9。。。 7,当sel=101时,按5,6,7,8,9,5,6,7,8,9。。。 8,当sel=110时,按2,4,6,8,0,2,4,6,8,0.。。 9,当sel=110时,按9,8,7,6,5,9,8,7,6,5.。。。 10,由数码管分别译码显示控制信号和计数状态,分别用3 位数码管动态显示 给出VHDL语言的源程序。

网友评论