EDA课程设计-可控脉冲发生器的设计

时间:2020-04-12 02:53:52
【文件属性】:
文件名称:EDA课程设计-可控脉冲发生器的设计
文件大小:5.3MB
文件格式:ZIP
更新时间:2020-04-12 02:53:52
fpga 可控脉冲 Verilog 设计一个可控的脉冲发生器,要求输出的脉冲波的周期和占空比都可变。具体的实验过程中,然后再用按键模块的S1 和S2 来控制脉冲波的周期,每按下S1,N 会在慢速时钟作用下不断地递增1,按下S2,N 会在慢速时钟作用下不断地递减1;用S3 和S4 来控制脉冲波的占空比,每按下S3,M 会在慢速时钟作用下不断地递增1,每按下S4,M 会在慢速时钟作用下不断地递减1,S8 用作复位信号,当按下S8 时,复位FPGA内部的脉冲发生器模块。脉冲波的输出直接输出到实验箱观测模块的探针,以便用示波器观察输出波形的改变

网友评论

  • 这是什么玩意?并不是我想要的。