uvm_dpi源码分析

时间:2021-01-28 10:14:45

uvm_dpi中主要有三大类的dpi调用,1)cmdline的参数接收函数,2)reg后门访问的hdl路径处理函数,

    3)regex正则表达式处理函数;

      uvm_dpi源码分析

 

1)regex正则匹配,在定义no_dpi时,只支持glob类型的match比较;支持dpi则可以进行regex的match比较;

      uvm_dpi源码分析

    //包着的会被认为是正则表达式,一个/^表示起始位置;

      uvm_dpi源码分析

2)cmdline的dpi,c的实现和仿真器有关系;标准只定义了接口;vpi_get_vlog_info();

      uvm_dpi源码分析

      uvm_dpi源码分析

      uvm_dpi源码分析

3)hdl类型dpi,check_path,通过pli接口,检查给出的path是否存在不做write和read操作;

      uvm_dpi源码分析

      deposite和force操作;

      uvm_dpi源码分析

      release和read操作;

      uvm_dpi源码分析

      hdl_force_time,force一段时间的值,之后release并read;

      uvm_dpi源码分析