VerilogHDL语言单时钟周期CPU设计

时间:2013-04-02 17:37:37
【文件属性】:

文件名称:VerilogHDL语言单时钟周期CPU设计

文件大小:3.58MB

文件格式:RAR

更新时间:2013-04-02 17:37:37

VerilogHDL MIPS指令系统 CPU设计 单周期

一个用VerilogHDL语言实现的单时钟周期CPU原代码,里面有完整的工程代码,逻辑图,报告文档等。此CPU共完成了16条常见MIPS指令。


【文件预览】:
单周期CPU设计
----SingleCyclCPU.vsd(97KB)
----SigCyl_CPU071221093.rar(3.33MB)
----指令执行过程.vsd(43KB)
----仿真截图()
--------im5.PNG(22KB)
--------im3.PNG(18KB)
--------im4.PNG(17KB)
--------im1.PNG(20KB)
--------im2.PNG(18KB)
--------NTL.PNG(15KB)
----单周期报告文档.docx(297KB)
----MIPS指令格式.vsd(50KB)

网友评论

  • 直接用好像不行, 基于这个自己改改就好了
  • 内容很详细,可运行,只是与我想找的单周期CPU设计不太符合
  • 很好的资源,内容丰富,虽然我只是参考一下其中的代码,并没有实际运行过,但是为我提供了思路
  • 虽然没啥帮助 但是也可以参考一下
  • 之前做系统组成实验时候看的,用的就是Quartus,虽然有点简单...
  • 挺好的,虽然没帮助,谢谢
  • 资源很好 给我的学习提供了很大帮助
  • 实现的指令太过简单
  • 对于verilog学习的同学非常有价值
  • 模块比较清楚,但是貌似功能有点简单,而且代码风格比较乱
  • 还不错 简单
  • 居然是自己学校的学长做的,代码都看了一遍,写的简洁清楚,遗憾的是一些多周期CPU要用的部件没有用上,虽然足够实现单周期了,总觉得少了点的……谢啦!
  • 代码结构清晰,不错的资源,谢谢
  • 和多周期的那个很类似,结构简单,但是连线不是很明白。学习了!!!
  • 这个对我帮助很大,内容很详细
  • 谢谢,内容很好很全。
  • 好像有点问题啊,而且实现的指令有些单一
  • 代码虽然简单易懂,但是ALU好像有问题。
  • 代码简单易懂,写的很不错
  • 写的很到位,很全面,非常有帮助