spi Verilog

时间:2016-11-18 12:40:58
【文件属性】:
文件名称:spi Verilog
文件大小:2KB
文件格式:TXT
更新时间:2016-11-18 12:40:58
spi Verilog SPI 总线 代码 Verilog 读写 SPI接口的verilog实现 项目中使用的许多器件需要SPI接口进行配置,比如PLL:ADF4350,AD:AD9627,VGA:AD8372等,根据SPI协议,站长编写了一个简单的SPI读写程序,可以进行32为数据的读写(读者可以修改程序中数字使其变成16位或8位读写,也可以将读写位数参数化),可以设置SPI SCLK相对于主时钟的分频比。

网友评论

  • 费了好大劲读懂了
  • 谢谢分享,慢慢学习
  • 刚开始对原理不太懂,下载了也没看的懂,后来明白原理,自己也写出来了。
  • 费了好大劲读懂了,多点注释就好了
  • 加深了对SPI的理解。但程序功能有限,不能直接移植
  • 搞了好久我自己也整出来了
  • 代码很有参考价值,可在代码基础上修改使用,感谢!
  • 很有参考价值,解决了我的问题,谢谢
  • 有一定用处,但帮助有限,总的还是不错
  • 很有参考价值,解决了我的问题,谢谢
  • 谢谢分享,感觉很有用!!
  • 一般般的,用处不是太大
  • 不错,很有帮助
  • 看了看,可以作为参考
  • 不是特别全,不能拿来直接用
  • 不错,FPGA上可以用,但是感觉需要改进
  • spi可以和ad ,flash ,eeprom通信,但是要注意时钟极性,参考了本例,才注意到。谢谢上传者
  • 思路可以做参考不错
  • 感觉不是很规范,用的阻塞赋值
  • 正好,能读懂代码。