数字DA转换器(FPGA Verilog)

时间:2014-12-13 01:25:07
【文件属性】:
文件名称:数字DA转换器(FPGA Verilog)
文件大小:848B
文件格式:V
更新时间:2014-12-13 01:25:07
DA 节省大量硬件资源,16位数字信号到模拟信号的转换器,源代码是用Verilog HDL语言写的,这是我在做FPGA时开发的,其代码内容可以移植到嵌入式系统中……

网友评论

  • 基本上可以使用
  • 基本可以用,谢谢
  • 这个DA转换器是通过将输入的数字量转换为输出PWM波的频率实现的,好用
  • 基本上可以使用
  • 很好 能用 非常不错
  • 非常不错,可以用
  • 很简单的程序啊
  • 可以用!可移植
  • 不知道转换精度怎么样?和DA芯片相比,那个精度更高啊?谢谢! 麻烦楼主通过2583239254告诉我一下,急求,谢谢!
  • 初学者可以使用!
  • 代码和简练
  • 基本上可以使用。
  • 还可以用的
  • 程序代码很好,谢谢楼主分享