VHDL-简单交通灯设计-源码

时间:2016-02-05 08:33:19
【文件属性】:
文件名称:VHDL-简单交通灯设计-源码
文件大小:372KB
文件格式:DOCX
更新时间:2016-02-05 08:33:19
交通灯 vhdl VHDL语言的简易交通灯程序,已经用开发板测试通过,希望我用的是好的,你用,同样是很好的效果,哈哈

网友评论

  • 很好,对我帮助很大