交通灯控制程序(VHDL)

时间:2014-07-17 12:42:41
【文件属性】:
文件名称:交通灯控制程序(VHDL)
文件大小:247KB
文件格式:RAR
更新时间:2014-07-17 12:42:41
交通灯 本系统旨在利用VHDL语言实现交通灯的日常功能
【文件预览】:
交通灯
----LED4()
--------LED4.qpf(906B)
--------LED4.asm.rpt(6KB)
--------LED4.map.summary(355B)
--------LED4.vhd.bak(4KB)
--------LED4.fit.summary(359B)
--------db()
--------LED4.tan.rpt(66KB)
--------LED4.vhd(4KB)
--------LED4.qsf(3KB)
--------LED4.cdf(338B)
--------LED4.pin(15KB)
--------LED4.done(26B)
--------LED4.flow.rpt(6KB)
--------LED4.fit.smsg(334B)
--------LED4.tan.summary(986B)
--------LED4.qws(530B)
--------LED4.pof(15KB)
--------LED4.map.rpt(18KB)
--------LED4.fit.rpt(63KB)
--------LED4.dpf(239B)

网友评论