VHDL编写的16位CPU

时间:2011-09-21 17:56:20
【文件属性】:
文件名称:VHDL编写的16位CPU
文件大小:94KB
文件格式:RAR
更新时间:2011-09-21 17:56:20
CPU VHDL VHDL编写的16位CPU,本人也在学习中欢迎交流
【文件预览】:
9927412cpu-16-vhdl
----vhdl()
--------select_yy.vhd(3KB)
--------cpu16.ise(6KB)
--------opcode_fetch.vhd(1KB)
--------ds1722.vhd(4KB)
--------cpu_pack.vhd(8KB)
--------cpu_engine.vhd(12KB)
--------uart._baudgen.vhd(2KB)
--------test.vhd(4KB)
--------bin_to_7segment.vhd(4KB)
--------CVS()
--------alu8.vhd(8KB)
--------mem_content.vhd(26KB)
--------board_cpu.ucf(29KB)
--------opcode_decoder.vhd(33KB)
--------Board_cpu.vhd(4KB)
--------uart_rx.vhd(2KB)
--------cpu16.npl(2KB)
--------test.tbw(12KB)
--------BaudGen.vhd(1KB)
--------transcript(389B)
--------input_output.vhd(6KB)
--------board_cpu.bit(106KB)
--------cpu.vhd(6KB)
--------temperature.vhd(3KB)
--------memory.vhd(14KB)
--------uart_tx.vhd(2KB)
--------cpu_test.vhd(3KB)
--------uart.vhd(2KB)
--------data_core.vhd(5KB)
----www.pudn.com.txt(218B)

网友评论

  • 代码很好,可惜分的文件太多了,并在一个VHDL里就好了