关于SRAM的Verilog语言编程

时间:2013-05-17 03:35:23
【文件属性】:
文件名称:关于SRAM的Verilog语言编程
文件大小:599KB
文件格式:RAR
更新时间:2013-05-17 03:35:23
SRAM,Verilog 本设计使用Verilog硬件描述语言进行SRAM的模拟控制,方便用户移植和修改
【文件预览】:
SRAM_2
----SRAM_2.map.eqn(29KB)
----SRAM_2.tan.rpt(220KB)
----SRAM_2.qws(530B)
----SRAM_2.flow.rpt(5KB)
----SRAM_2.pin(77KB)
----SRAM_2.cdf(299B)
----SRAM_2.done(26B)
----SRAM_2.sof(821KB)
----SRAM_2.qsf(21KB)
----SRAM_2.pof(2MB)
----SRAM_2.tan.summary(2KB)
----db()
--------SRAM_2.hif(18KB)
--------prev_cmp_SRAM_2.asm.qmsg(2KB)
--------SRAM_2.dbp(0B)
--------SRAM_2.db_info(137B)
--------SRAM_2.cbx.xml(88B)
--------SRAM_2.(0).cnf.cdb(12KB)
--------SRAM_2.map.hdb(20KB)
--------SRAM_2.(1).cnf.cdb(978B)
--------SRAM_2.rtlv_sg_swap.cdb(3KB)
--------SRAM_2.cmp.tdb(31KB)
--------SRAM_2.cmp.logdb(4B)
--------SRAM_2.rpp.qmsg(2KB)
--------prev_cmp_SRAM_2.map.qmsg(24KB)
--------SRAM_2.signalprobe.cdb(1KB)
--------SRAM_2.map.logdb(4B)
--------SRAM_2.sgdiff.cdb(7KB)
--------SRAM_2.cmp0.ddb(127KB)
--------SRAM_2.(0).cnf.hdb(3KB)
--------SRAM_2.sld_design_entry_dsc.sci(154B)
--------prev_cmp_SRAM_2.tan.qmsg(88KB)
--------prev_cmp_SRAM_2.fit.qmsg(208KB)
--------SRAM_2.(1).cnf.hdb(566B)
--------SRAM_2.sgate.rvd(23KB)
--------SRAM_2.cmp.hdb(20KB)
--------SRAM_2.syn_hier_info(0B)
--------SRAM_2.tan.qmsg(88KB)
--------SRAM_2.cmp.rdb(41KB)
--------SRAM_2.rtlv.hdb(25KB)
--------SRAM_2.sld_design_entry.sci(154B)
--------SRAM_2.hier_info(10KB)
--------SRAM_2.rtlv_sg.cdb(12KB)
--------SRAM_2.pre_map.cdb(11KB)
--------SRAM_2.cmp.cdb(33KB)
--------SRAM_2.sgdiff.hdb(28KB)
--------SRAM_2.(2).cnf.cdb(2KB)
--------SRAM_2.tis_db_list.ddb(174B)
--------SRAM_2.eco.cdb(161B)
--------SRAM_2.pre_map.hdb(25KB)
--------SRAM_2.asm_labs.ddb(455KB)
--------SRAM_2.(2).cnf.hdb(950B)
--------SRAM_2.sgate_sm.rvd(215B)
--------SRAM_2.map.cdb(7KB)
--------SRAM_2.pss(0B)
--------SRAM_2.psp(0B)
--------SRAM_2.fit.qmsg(208KB)
--------SRAM_2.asm.qmsg(2KB)
--------SRAM_2.map.qmsg(24KB)
----SRAM_2.fit.summary(604B)
----SRAM_2.v(3KB)
----SRAM_2.map.smsg(81B)
----CLK_10MHZ.v(9KB)
----SRAM_2.map.rpt(60KB)
----SRAM_2.fit.smsg(513B)
----SRAM_2_assignment_defaults.qdf(27KB)
----waveperl.log(0B)
----SRAM_2.qsf.bak(21KB)
----SRAM_2.qpf(901B)
----SRAM_2.fit.eqn(35KB)
----SRAM_2.map.summary(455B)
----SRAM_2.fit.rpt(274KB)
----SRAM_2.asm.rpt(8KB)

网友评论

  • 语句风格不是很规范,总体还行!
  • 复杂的verilog源码,在阅读中。。。
  • 思路很不错的,学习了!
  • 语句还不错 但是略贵,评论了半天资源才下下来! 你综合这个语句了么?有function 的话应该属于不可综合语句吧!