基于FPGA的VHDL语言电子琴设计

时间:2012-01-23 08:15:58
【文件属性】:
文件名称:基于FPGA的VHDL语言电子琴设计
文件大小:77KB
文件格式:RAR
更新时间:2012-01-23 08:15:58
FPGA VHDL 电子琴 QuartusII 基于FPGA开发平台和QuartusII开发软件,采用VHDL语言编写的电子琴,程序里含“我心依旧”“浪人情歌”这两首歌,具有选歌暂停功能。
【文件预览】:
我心依旧浪人情歌
----zhilv.bsf(2KB)
----music.pin(30KB)
----music.dpf(239B)
----music.tan.summary(2KB)
----zhishu.bsf(2KB)
----db()
--------music.db_info(137B)
--------altsyncram_mau.tdf(6KB)
--------music1.rtl.mif(7KB)
--------music0.rtl.mif(15KB)
--------altsyncram_08u.tdf(6KB)
----music.fit.summary(405B)
----maoci.vhd(1KB)
----music.map.rpt(37KB)
----maoci.bsf(2KB)
----music.flow.rpt(4KB)
----music.tan.rpt(87KB)
----music.pof(512KB)
----zhilv_wo.bsf(2KB)
----music.fit.smsg(411B)
----music_assignment_defaults.qdf(32KB)
----zhishu.vhd(1KB)
----zhiyin.bsf(2KB)
----music.qpf(903B)
----music.cdf(282B)
----zhilv_lang.bsf(2KB)
----zhilv.vhd(2KB)
----music.map.summary(315B)
----music.qsf(2KB)
----music.sof(137KB)
----key_xuange.vhd(561B)
----key_xuange.bsf(2KB)
----music.asm.rpt(7KB)
----music.done(26B)
----music.bdf(7KB)
----music.fit.rpt(94KB)
----zhiyin.vhd(58KB)

网友评论

  • 还行吧,真的很有借鉴意义
  • 不错,初学者很实用。赞一个。
  • 使用蜂鸣器实现的,我以为是用wm8731音频芯片做的呢,不过,写的还可以。
  • 很不错啊,很好,谢谢啦,让我知道如何连接实体了