VHDL16位乘法器

时间:2013-05-11 09:02:00
【文件属性】:

文件名称:VHDL16位乘法器

文件大小:1KB

文件格式:TXT

更新时间:2013-05-11 09:02:00

VHDL16位乘法器

不错的乘法器代码library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all;


网友评论

  • 没有编译就发吗,为什么我还要去改错
  • 上实验参考可以用
  • 很好的程序,让我对程序理解更深了
  • 非常简单易懂~