文件名称:eda数字时钟的设计
文件大小:227KB
文件格式:DOC
更新时间:2014-06-24 05:17:26
EDA设计数字时钟
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;
文件名称:eda数字时钟的设计
文件大小:227KB
文件格式:DOC
更新时间:2014-06-24 05:17:26
EDA设计数字时钟
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;