交通灯 VHDL 程序 红绿灯

时间:2012-07-06 15:28:40
【文件属性】:
文件名称:交通灯 VHDL 程序 红绿灯
文件大小:2KB
文件格式:TXT
更新时间:2012-07-06 15:28:40
vhdl实例 交通灯 程序 学习EDA的一个小例子,控制红绿灯,看起来很实用 CASE present_state IS WHEN highway_green=> highway_light<= "100"; general_light<= "001"; WHEN highway_yellow=> highway_light<= "010"; general_light<= "001"; WHEN general_green=> general_light<= "100"; highway_light<="001"; WHEN general_yellow=> general_light<="010"; highway_light<="001"; END CASE;

网友评论