VHDL实现1秒LED闪烁

时间:2011-12-04 03:18:17
【文件属性】:
文件名称:VHDL实现1秒LED闪烁
文件大小:1KB
文件格式:TXT
更新时间:2011-12-04 03:18:17
CPLD VHDL 利用50MHz的外部时钟输入,经过2次分频得到1秒的精确定时,给LED取反。

网友评论

  • process(clk) variable s1:integer:=0; variable s2:integer:=0; begin i1:if (c...... end process 他程序中有这样一行, 特么s1和s2不是万年都为0么,还记毛个数,分p个频啊
  • 最近毕业设计需要做出租车尾灯控制,可以用得上
  • 代码没有问题,通过编译仿真