汽车尾灯控制器 vhdl 课程设计

时间:2014-12-25 06:51:09
【文件属性】:
文件名称:汽车尾灯控制器 vhdl 课程设计
文件大小:272KB
文件格式:DOC
更新时间:2014-12-25 06:51:09
汽车尾灯控制器 vhdl 课程设计 (1)汽车尾部左右两侧各有多盏指示灯。 (2)汽车正常行驶时指示灯都不亮。 (3)汽车右转弯时,右侧的一盏指示灯亮。 (4)汽车左转弯时,左侧的一盏指示灯亮。 (5)汽车刹车时,左右两侧的一盏指示灯同时亮。 (6)汽车在夜间行驶时,左右两侧有指示灯同时一直亮,供照明使用

网友评论

  • 不错啊 有点用处!
  • 很好很详细,很不错的东西!