UVM实战笔记 - Ch2 一个简单的UVM验证平台(中)对应代码及注释

时间:2021-08-05 18:15:31
【文件属性】:
文件名称:UVM实战笔记 - Ch2 一个简单的UVM验证平台(中)对应代码及注释
文件大小:46KB
文件格式:ZIP
更新时间:2021-08-05 18:15:31
UVM 数字IC验证 代码在书里有,其中注释是我自己加的,对应博文里的讲解。
【文件预览】:
section2.3 为验证平台加入各个组件
----2.3.5 加入reference model()
--------my_transaction.sv(1KB)
--------my_driver.sv(2KB)
--------run(411B)
--------my_model.sv(1KB)
--------top_tb.sv(1KB)
--------my_monitor.sv(2KB)
--------my_if.sv(137B)
--------my_agent.sv(1KB)
--------filelist.f(87B)
--------my_env.sv(1KB)
----2.3.4 封装成agent()
--------my_transaction.sv(904B)
--------my_driver.sv(2KB)
--------run(411B)
--------top_tb.sv(1KB)
--------my_monitor.sv(2KB)
--------my_if.sv(137B)
--------my_agent.sv(1KB)
--------filelist.f(87B)
--------my_env.sv(675B)
--------aaa.sv(1KB)
----2.3.6 加入scoreboard()
--------my_transaction.sv(2KB)
--------my_driver.sv(2KB)
--------run(411B)
--------my_scoreboard.sv(3KB)
--------my_model.sv(1008B)
--------top_tb.sv(1KB)
--------my_monitor.sv(2KB)
--------my_if.sv(137B)
--------my_agent.sv(821B)
--------filelist.f(87B)
--------my_env.sv(2KB)
----2.3.2 加入env()
--------my_transaction.sv(595B)
--------my_driver.sv(2KB)
--------run(411B)
--------top_tb.sv(1009B)
--------my_if.sv(137B)
--------filelist.f(87B)
--------my_env.sv(886B)
----2.3.1 加入transaction()
--------my_transaction.sv(1KB)
--------my_driver.sv(2KB)
--------run(411B)
--------top_tb.sv(765B)
--------my_if.sv(137B)
--------filelist.f(87B)
----2.3.7 加入field_automation机制()
--------my_transaction.sv(1KB)
--------my_driver.sv(2KB)
--------run(411B)
--------my_scoreboard.sv(2KB)
--------my_model.sv(1KB)
--------top_tb.sv(1KB)
--------my_monitor.sv(2KB)
--------my_if.sv(137B)
--------my_agent.sv(821B)
--------filelist.f(87B)
--------my_env.sv(1KB)
----2.3.3 加入monitor()
--------my_transaction.sv(904B)
--------my_driver.sv(2KB)
--------run(411B)
--------top_tb.sv(1KB)
--------my_monitor.sv(2KB)
--------my_if.sv(137B)
--------filelist.f(87B)
--------my_env.sv(663B)

网友评论