i2c slave端verilog代码

时间:2014-07-07 04:11:32
【文件属性】:
文件名称:i2c slave端verilog代码
文件大小:1.2MB
文件格式:RAR
更新时间:2014-07-07 04:11:32
i2c slave verilog salve端的i2c verilog代码,用于fpga。
【文件预览】:
i2cslave
----tags()
----trunk()
--------rtl()
--------bench()
--------sw()
--------syn()
--------model()
--------Aldec()
--------doc()
--------sim()
--------progFiles()
----web_uploads()
----branches()

网友评论

  • 资源不错,受教了,十分感谢!
  • 谢谢楼主的代码
  • 老外写的开源iic从设备核,貌似只能写入设备,不能从从设备读出数据,若说的不对,请指正~
  • 比较基础的一个代码,需要修改
  • 代码还可以吧。
  • 很不错,值得借鉴
  • 还可以,修改下能用
  • 有帮助,很好
  • 与之前下的一个版本文件相同
  • 很不错的东西 很灵活,改一改可以用到我的项目
  • 很好用,改改就可以用到别的地方
  • 已经应用到产品中,挺好的,多谢!
  • 比较基础的一个代码,还行
  • 写的很好,非常感谢!
  • 代码写得很严谨,谢谢楼主分享
  • 很不错的资源,学习一下
  • 有帮助,很好
  • 这个可以当标准实例来看了.稍微改改就可以用到自己的项目中了
  • 我做I2C项目参考了不少,非常感谢!
  • 写的很好 比较清晰! 感谢!
  • 楼主的资源对我帮助很大,谢谢了!
  • 代码很好,写得也很规范,值得借鉴!
  • 代码很好,写得也很规范
  • 层次很分明啊,我所用的只是其中的一部分,真是受益了!
  • 这个版本的代码还是不错的,可以直接修改后再FPGA上应用