UVM中处理复位信号的参考代码

时间:2022-09-08 15:39:57
【文件属性】:
文件名称:UVM中处理复位信号的参考代码
文件大小:5.97MB
文件格式:ZIP
更新时间:2022-09-08 15:39:57
UVM 复位处理 复位存在于每一个UVM验证环境中,简单的同时,也是我们最容易忽略的功能点。在验证环境中,通常复位信号的处理,就是在top顶层产生时钟时,做一个复位的动作。这样做的好处是简单,但是如果我们想在仿真过程中,加入一个复位的动作,实现起来就比较麻烦,对于接口上,复位后驱动的复位值,也难以实现。 本文主要介绍一种通用的方法,专门用来处理复位,具备很好的移植性,复用性,使用起来也非常方便,将复位相关的内容,单独拿出来处理,能够解决常规验证环境中,无法中间加入复位动作的痛点。

网友评论