【verilog】快速乘法器。两个16位有符号数,输出32位相乘结果,采用Booth编码和Wallace树型结构

时间:2022-06-20 16:45:31
【文件属性】:
文件名称:【verilog】快速乘法器。两个16位有符号数,输出32位相乘结果,采用Booth编码和Wallace树型结构
文件大小:6KB
文件格式:ZIP
更新时间:2022-06-20 16:45:31
Booth编码 Wallace树型结构 快速乘法器 verilog 输入为两个16位有符号数,输出32位相乘结果。要求采用Booth编码和Wallace树型结构。 计算例子: 0110000010000000 * 1000000000000001 = 11001111110000000110000010000000 (24704) * (-32767) = (-809475968) 顶层模块名为mul_tc_16_16,输入输出功能定义: 名称 方向 位宽 描述 a I 16 输入数据,二进制补码 b I 16 输入数据,二进制补码 product O 32 输出乘积a * b,二进制补码
【文件预览】:
新建文件夹
----bit2booth.v(732B)
----快速乘法器.txt(1KB)
----mul_tc_16_16.v(8KB)
----adder32.v(2KB)
----para_carry_4bit.v(579B)
----fulladder.v(329B)
----mul_tc_16_16_tb.v(644B)
----wallace.v(896B)

网友评论