verilog 实现qpsk调制解调

时间:2013-05-26 12:14:18
【文件属性】:
文件名称:verilog 实现qpsk调制解调
文件大小:1KB
文件格式:RAR
更新时间:2013-05-26 12:14:18
verilog qpsk 应用verilog硬件语言对qpsk调制解调系统进行编写,以实现其功能。
【文件预览】:
qdpsk_de.v
qpsk.v

网友评论

  • 作为初学还不错,不过编码形式太简单了。如果有UQPSK的实现方式就好了。
  • 有些基础 但很好用 谢谢分享
  • 没什么用基本上。太简单了。
  • 挺基础的,还行
  • 很基础,很简单,应该是学校的实验
  • 只有代码。仿真还要加什么模块吗
  • 一般,感觉意义不大
  • 基础简单好理解
  • 东西好少,不值5分,虽然是对的,但是跟我的不符合..
  • 没有实用价值,仅适合演示用,但是无法用于真正工程中。
  • 太简单了点……没起到什么参考作用
  • 很好很好,能直接用
  • 很好但是有点简单了
  • 基础性的实验,对于理解QPSK调制很有帮助
  • 很好用,起到了帮助
  • 很基础的东西,内容不错,但对不起5分啊
  • 很详细 很好理解 不错不错
  • 我想做一个DDS的 能不能实现下呢 这个太简单了
  • 很好~~我的课题设计~
  • 经典的verilog实现
  • 基础理解很有帮助