FPGA的VHDL例程

时间:2012-09-08 13:20:10
【文件属性】:
文件名称:FPGA的VHDL例程
文件大小:234KB
文件格式:RAR
更新时间:2012-09-08 13:20:10
FPGA VHDL ASK调制与解调VHDL程序及仿真 FSK调制与解调VHDL程序及仿真 MPSK调制与解调VHDL程序与仿真 URAT VHDL程序与仿真 TLC7524接口电路程序 DAC0832 接口电路程序 TLC5510 VHDL控制程序 ADC0809 VHDL控制程序 LCD控制VHDL程序与仿真 LED控制VHDL程序与仿真
【文件预览】:
FPGA
----8.7 TLC7524接口电路程序.doc(23KB)
----8.3 LCD控制VHDL程序与仿真.doc(26KB)
----8.14 MPSK调制与解调VHDL程序与仿真.doc(123KB)
----8.8 URAT VHDL程序与仿真.doc(86KB)
----8.9 ASK调制与解调VHDL程序及仿真.doc(67KB)
----8.2 LED控制VHDL程序与仿真 2004.8修改.doc(34KB)
----8.10 FSK调制与解调VHDL程序及仿真.doc(86KB)
----8.3 LCD控制VHDL程序与仿真 2004.8修改.doc(32KB)
----8.4 ADC0809 VHDL控制程序.doc(24KB)
----8.6 DAC0832 接口电路程序.doc(21KB)
----8.2 LED控制VHDL程序与仿真.doc(31KB)
----8.5 TLC5510 VHDL控制程序.doc(21KB)

网友评论

  • 写的算是一般吧
  • 不错的代码,适合于初学者学习
  • 很好的代码,值得一学
  • 很好的代码啊