FPGA课件,学习FPGA好资料

时间:2013-05-15 15:04:16
【文件属性】:
文件名称:FPGA课件,学习FPGA好资料
文件大小:8.43MB
文件格式:RAR
更新时间:2013-05-15 15:04:16
FPGA 学习FPGA好资料,包括VHDL等等,是FPGA入门的好帮手
【文件预览】:
FPGA
----ourdev_185292.pdf(317KB)
----ourdev_185203.pdf(2.17MB)
----ourdev_185293.pdf(280KB)
----ourdev_185207.pdf(1.73MB)
----ourdev_185208()
--------东南大学Verilog讲义()
----ourdev_185211.pdf(489KB)
----ourdev_185205.pdf(2.04MB)
----ourdev_185195.pdf(273KB)
----ourdev_185255.rar(397KB)
----ourdev_185290.pdf(512KB)
----ourdev_185210.pdf(1.16MB)
----ourdev_185255()
--------第十章 Verilog(新).ppt(914KB)
----ourdev_185291.pdf(433KB)
----ourdev_185208.rar(580KB)

网友评论

  • 包括比较全面,学习中。。。