fpga课件科技

时间:2015-11-13 09:08:22
【文件属性】:

文件名称:fpga课件科技

文件大小:20.09MB

文件格式:RAR

更新时间:2015-11-13 09:08:22

FPGA课件

`timescale 1ns/1ns module counter_tb; reg [7:0] data; reg load; reg clk; reg reset; wire [7:0] out; counter U_counter( .out(out), .data(data), .load(load), .reset(reset), .clk(clk) ); initial begin data =7'b0; load =1'b0; clk = 1'b0; reset = 1'b1; #1 reset=1'b0; #1 reset=1'b1; #10000 load = 1'b1; #1000 load = 1'b0; #10000 $stop; end always # 5 clk <= ~clk; endmodule


【文件预览】:
鸟哥的linux私房菜_基础学习篇第三版
----鸟哥的linux私房菜_基础学习篇第三版()
--------鸟哥的linux私房菜_基础学习篇第三版.pdf(22.05MB)
--------使用说明请参看右侧注释===〉〉.txt(766B)
----使用说明请参看右侧注释===〉〉.txt(766B)

网友评论