用vhdl 写的 uart ,vhd  

时间:2016-01-16 16:34:45
【文件属性】:
文件名称:用vhdl 写的 uart ,vhd  
文件大小:83KB
文件格式:7Z
更新时间:2016-01-16 16:34:45
uart vhdl 用vhdl写的uart ,已altera 器件实现
【文件预览】:
uart
----uart_rxerr_tb.vhd(35KB)
----uart.tan.summary(2KB)
----modem.vhd(5KB)
----txmitt.vhd(14KB)
----uart.qws(1KB)
----uart_tx_tb.vhd(88KB)
----uart.map.summary(310B)
----uart.fit.summary(401B)
----uart.dpf(239B)
----uart.qsf(4KB)
----db()
--------prev_cmp_uart.tan.qmsg(54KB)
--------prev_cmp_uart.map.qmsg(29KB)
--------uart.sld_design_entry.sci(134B)
--------wed.wsf(23KB)
--------uart.map.qmsg(3KB)
--------prev_cmp_uart.asm.qmsg(2KB)
--------uart.cbx.xml(86B)
--------uart.sld_design_entry_dsc.sci(134B)
--------uart.db_info(136B)
--------prev_cmp_uart.sim.qmsg(8KB)
--------prev_cmp_uart.fit.qmsg(25KB)
--------wed.zsf(180B)
--------uart.eco.cdb(141B)
----Txmitt.bsf(4KB)
----uart_int_tb.vhd(37KB)
----intface.vhd(34KB)
----uart.flow.rpt(5KB)
----rxcver.vhd(18KB)
----uart.vhd(9KB)
----uart_assignment_defaults.qdf(27KB)
----Rxcver.bsf(4KB)
----uart_5kvg_top.vhd(15KB)
----uart_top.vhd(13KB)
----uart.pof(128KB)
----74373b_0.vhd(1KB)
----uart.pin(30KB)
----uart.sim.rpt(46KB)
----uart.done(26B)
----uart.tan.rpt(108KB)
----gen_clk.bsf(2KB)
----intface.vhd.bak(34KB)
----uart.vwf(30KB)
----uart.fit.rpt(102KB)
----Modem.bsf(3KB)
----uart.qpf(899B)
----uart_rx_tb.vhd(79KB)
----uart.sof(137KB)
----uart.bdf(39KB)
----uart.cdf(311B)
----uart.map.rpt(44KB)
----prev_cmp_uart.qmsg(110KB)
----gen_clk.vhd.bak(3KB)
----uart.asm.rpt(7KB)
----Intface.bsf(7KB)
----gen_clk.vhd(3KB)
----uart.fit.smsg(411B)

网友评论

  • 试了试 好像不太好用