数字钟设计eda

时间:2017-01-25 08:26:56
【文件属性】:
文件名称:数字钟设计eda
文件大小:80KB
文件格式:DOC
更新时间:2017-01-25 08:26:56
eda设计 eda课程设计,数字钟实现时分秒的显示和调整时分的功能以及闹铃

网友评论