I2C总线接口设计

时间:2015-06-05 07:51:27
【文件属性】:
文件名称:I2C总线接口设计
文件大小:208KB
文件格式:RAR
更新时间:2015-06-05 07:51:27
I2C 总线 接口 设计,验证平台,verilog,原代码,fpga,ISE I2C总线接口设计,及平台验证,verilog,原代码,fpga,ISE
【文件预览】:
I2C
----i2c_master_byte_ctrl.syr(18KB)
----i2c_master_byte_ctrl.ngr(92KB)
----i2c_master_bit_ctrl.lso(6B)
----prjname.lso(6B)
----i2c_master_byte_ctrl.prj(73B)
----I2C.npl(919B)
----i2c_master_defines.v.bak(3KB)
----i2c_master_byte_ctrl.v(7KB)
----i2c_master_top.prj(104B)
----i2c_master_top.v(6KB)
----i2c_master_top.ngc(81KB)
----automake.log(0B)
----xst()
--------work()
----i2c_master_bit_ctrl.syr(14KB)
----__projnav()
--------i2c_master_byte_ctrl.xst(1020B)
--------coregen.rsp(111B)
--------xst_sprjTOstx_tcl.rsp(72B)
--------runXst_tcl.rsp(91B)
--------i2c_master_top.xst(996B)
--------i2c_master_bit_ctrl.xst(1016B)
--------I2C.gfl(2KB)
--------I2C_flowplus.gfl(805B)
----__projnav.log(31KB)
----i2c_master_top.cmd_log(77B)
----i2c_master_byte_ctrl.cmd_log(89B)
----i2c_master_top.syr(20KB)
----coregen.prj(7KB)
----i2c_slave_model.v.bak(11KB)
----i2c_master_top.v.bak(10KB)
----i2c_master_bit_ctrl.ngr(46KB)
----wb_master_model.v(3KB)
----i2c_master_byte_ctrl.stx(1KB)
----i2c_master_bit_ctrl.ngc(31KB)
----I2C.dhp(5KB)
----work()
--------glbl()
--------i2c_slave_model()
--------_info(322B)
----i2c_master_bit_ctrl.cmd_log(87B)
----i2c_master_bit_ctrl.stx(765B)
----i2c_master_bit_ctrl.v(13KB)
----i2c_master_defines.v(214B)
----transcript(755B)
----i2c_master_bit_ctrl.v.bak(16KB)
----i2c_slave_model.udo(204B)
----timescale.v(23B)
----coregen.log(705B)
----i2c_master_byte_ctrl.lso(6B)
----i2c_slave_model.v(8KB)
----wb_master_model.v.bak(5KB)
----i2c_master_top.stx(2KB)
----i2c_master_top.ngr(120KB)
----i2c_master_byte_ctrl.ngc(52KB)
----i2c_master_byte_ctrl.v.bak(10KB)
----i2c_slave_model.fdo(386B)
----i2c_master_byte_ctrl_vhdl.prj(0B)
----i2c_slave_model.ndo(428B)
----i2c_master_bit_ctrl.prj(36B)
----tst_bench_top.v(9KB)
----i2c_master_top_vhdl.prj(0B)
----i2c_master_top.lso(6B)
----i2c_master_bit_ctrl_vhdl.prj(0B)

网友评论