vhdl 程序实例

时间:2016-07-22 01:50:53
【文件属性】:
文件名称:vhdl 程序实例
文件大小:55KB
文件格式:DOC
更新时间:2016-07-22 01:50:53
vhdl .动态扫描显示程序: library ieee; use ieee.std_logic_1164.all; entity dtsm_xs is port(clk:in std_logic; B14,B13,B12,B11,B10,B9,B8,B7,B6,B5,B4,B3,B2,B1:in std_logic_vector(3 downto 0); x:out std_logic_vector(6 downto 0); led_select: out std_logic_vector(13 downto 0)); end;

网友评论