用verilog实现求最大公约数

时间:2013-11-24 11:31:29
【文件属性】:
文件名称:用verilog实现求最大公约数
文件大小:7KB
文件格式:V
更新时间:2013-11-24 11:31:29
verilog gcd 最大公约数 rtl 用verilog实现最大公约数,rtl级,包括测试模块

网友评论

  • 还可以,比较清楚的
  • 挺好,方法简单明了
  • 挺好的东西
  • 还可以比较高端!
  • 好高端……对于我这种新手不太适用
  • 不错的,赞一个,很有帮助