PS_2 VHDL

时间:2013-06-23 16:21:51
【文件属性】:
文件名称:PS_2 VHDL
文件大小:222KB
文件格式:RAR
更新时间:2013-06-23 16:21:51
VHDL 一个较为适合初学者(CPLD\FPGA)的VHDL语言程序
【文件预览】:
PS_2
----keyboardVhdl.pin(14KB)
----keyboardVhdl.map.summary(301B)
----keyboardVhdl.vhd(3KB)
----keyboardVhdl.qsf(3KB)
----keyboardVhdl.cdf(305B)
----db()
--------keyboardVhdl.sld_design_entry_dsc.sci(134B)
--------keyboardVhdl.cbx.xml(94B)
--------keyboardVhdl.db_info(136B)
--------keyboardVhdl.cmp.logdb(4B)
--------keyboardVhdl.hier_info(2KB)
--------keyboardVhdl.pre_map.hdb(7KB)
--------keyboardVhdl.(0).cnf.cdb(6KB)
--------keyboardVhdl.map.logdb(4B)
--------keyboardVhdl.asm_labs.ddb(7KB)
--------keyboardVhdl.map.qmsg(2KB)
--------keyboardVhdl.eco.cdb(141B)
--------keyboardVhdl.cmp.cdb(21KB)
--------keyboardVhdl.signalprobe.cdb(322B)
--------keyboardVhdl.fit.qmsg(18KB)
--------keyboardVhdl.sgdiff.cdb(5KB)
--------keyboardVhdl.rtlv_sg.cdb(4KB)
--------keyboardVhdl.cmp.hdb(7KB)
--------keyboardVhdl.pre_map.cdb(5KB)
--------keyboardVhdl.map.hdb(7KB)
--------keyboardVhdl.sld_design_entry.sci(134B)
--------keyboardVhdl.hif(595B)
--------keyboardVhdl.cmp.kpt(212B)
--------keyboardVhdl.cmp0.ddb(42KB)
--------keyboardVhdl.(0).cnf.hdb(1KB)
--------keyboardVhdl.asm.qmsg(2KB)
--------keyboardVhdl.syn_hier_info(0B)
--------keyboardVhdl.tan.qmsg(49KB)
--------keyboardVhdl.sgdiff.hdb(7KB)
--------keyboardVhdl.cmp.tdb(15KB)
--------keyboardVhdl.psp(0B)
--------keyboardVhdl.rtlv_sg_swap.cdb(158B)
--------keyboardVhdl.map.cdb(6KB)
--------keyboardVhdl.dbp(0B)
--------keyboardVhdl.cmp.rdb(17KB)
--------keyboardVhdl.rtlv.hdb(7KB)
----keyboardVhdl.ttf(191KB)
----keyboardVhdl.map.rpt(15KB)
----keyboardVhdl.tan.summary(2KB)
----keyboardVhdl.dpf(239B)
----keyboardVhdl.bsf(2KB)
----keyboardVhdl.qws(90B)
----keyboardVhdl.asm.rpt(6KB)
----keyboardVhdl.fit.rpt(59KB)
----keyboardVhdl.pof(8KB)
----keyboardVhdl.qpf(908B)
----output_file.map(129B)
----keyboardVhdl.fit.summary(374B)
----keyboardVhdl.done(26B)
----keyboardVhdl.hexout(97KB)
----keyboardVhdl.fit.smsg(334B)
----keyboardVhdl.tan.rpt(63KB)
----keyboardVhdl.flow.rpt(4KB)

网友评论