快速傅立叶变换的FPGA代码

时间:2022-09-26 15:31:14
【文件属性】:
文件名称:快速傅立叶变换的FPGA代码
文件大小:61KB
文件格式:ZIP
更新时间:2022-09-26 15:31:14
 快速傅立叶变换 FPGA 代码 快速傅立叶变换的FPGA代码 快速傅立叶变换.zip (61.16 KB, 下载次数: 33 ) 快速
【文件预览】:
simili.lst
romadd_gen.vhd
shift2.vhd
swap.vhd
iod_staged.vhd
stage.vhd
out_result.vhd
mux_but.vhd
multiply.vhd
rom_ram.vhd
synth_test.vhd
rblock.vhd
divide.vhd
summer.vhd
baseindex.vhd
result.txt
and_gates.vhd
butter_lib.vhd
ram_shift.vhd
control2.vhd
synth_main.vhd
rom.vhd
mult.vhd
IEEE_TO_.PIF
controller.vhd
comm.txt
cycles_but.vhd
negate.vhd
dff.vhd
subtractor.vhd
FLOAT_RE.TXT
but.vhd
FLOAT2.PIF
ram.vhd
synth_fft
----simili.lst(89KB)
----romadd_gen.vhd(2KB)
----shift2.vhd(1KB)
----swap.vhd(2KB)
----iod_staged.vhd(1KB)
----stage.vhd(663B)
----out_result.vhd(624B)
----mux_but.vhd(585B)
----multiply.vhd(2KB)
----rom_ram.vhd(552B)
----synth_test.vhd(13KB)
----rblock.vhd(541B)
----divide.vhd(1KB)
----summer.vhd(1KB)
----baseindex.vhd(2KB)
----result.txt(544B)
----and_gates.vhd(1003B)
----butter_lib.vhd(3KB)
----ram_shift.vhd(798B)
----control2.vhd(3KB)
----synth_main.vhd(12KB)
----rom.vhd(1KB)
----mult.vhd(832B)
----IEEE_TO_.PIF(967B)
----controller.vhd(3KB)
----comm.txt(12B)
----cycles_but.vhd(1KB)
----negate.vhd(823B)
----dff.vhd(627B)
----subtractor.vhd(2KB)
----FLOAT_RE.TXT(370B)
----but.vhd(761B)
----FLOAT2.PIF(967B)
----ram.vhd(1KB)
----counter.vhd(814B)
----print.vhd(1KB)
----lblock.vhd(523B)
----normalize.vhd(2KB)
----mux_add.vhd(571B)
----ioadd.vhd(1012B)
counter.vhd
print.vhd
lblock.vhd
normalize.vhd
mux_add.vhd
ioadd.vhd

网友评论