如何测量时钟频率

时间:2021-07-07 10:38:17
【文件属性】:
文件名称:如何测量时钟频率
文件大小:930KB
文件格式:PDF
更新时间:2021-07-07 10:38:17
测量VHDL模拟器 作为VHDL模拟器,本课程将使用ModelSim,它是VHDL/Verilog最强大的模拟器之一。ModelSim许可证非常昂贵,但您可以合法地获得免费许可证,接下来我将展示如何。作为布局工具,我们将使用Altera Quartus II。VHDL代码在FPGA上的测试将在Altera DE0板上进行。Quartus II许可证也很贵…也许你在想…好吧,电子书是免费的,但我应该花很多钱买许可证   别担心,我是来帮你的。使用随DE0板提供的Altera Quartus II版本,您可以获得ModelSim和Quartus II的免费“lite”许可证。“Lite”意味着您只能模拟和布局Altera设备的一个子集。这就足够了,因为你可以模拟和布局所有“非专业”(非常昂贵和巨大)的FPGA设备,即你可以处理所有你买得起的FPGA。好吧…现在你在问:如果我没有DE0板。

网友评论