FIR滤波器 VHDL实验报告

时间:2017-04-29 14:29:50
【文件属性】:

文件名称:FIR滤波器 VHDL实验报告

文件大小:168KB

文件格式:DOC

更新时间:2017-04-29 14:29:50

FIR VHDL

有时序图、程序.LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_unsigned.all; ENTITY FIR is port( clk, res,clr,set:in std_logic; clk_regbt,clk_reg:buffer std_logic; Din:in std_logic_Vector(7 downto 0); Dout:out std_logic_vector(18 downto 0)); END FIR;


网友评论