文件名称:基于VHDL的交通灯控制器设计
文件大小:203KB
文件格式:PDF
更新时间:2013-06-22 16:21:12
交通灯
用vhdl语言描绘的交通灯控制器的设计 --交通灯控制芯片 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;
文件名称:基于VHDL的交通灯控制器设计
文件大小:203KB
文件格式:PDF
更新时间:2013-06-22 16:21:12
交通灯
用vhdl语言描绘的交通灯控制器的设计 --交通灯控制芯片 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;