旁路电容的深度对话.pdf

时间:2013-02-02 07:03:35
【文件属性】:
文件名称:旁路电容的深度对话.pdf
文件大小:461KB
文件格式:PDF
更新时间:2013-02-02 07:03:35
旁路电容的深度对话.pdf 通过一次关于基本知识的对话,让我们深入考察那没有什么魅力但是极其关键的旁路电容 和去耦电容。 编辑引言:旁路电容是关注度低、没有什么魅力的元器件,一般来说,在 许多专题特写中不把它作为主题,但是,它对于成功、可靠和无差错的设计是关键。来自 Intersil 公司的作者 David Ritter 和 Tamara Schmitz 参加了关于该主题的进一步对话。本 文是对话的第一部分。Dave 和 Tamara 信仰辩论的价值、教育的价值以及谦虚地深入讨 论核心问题的价值;简而言之,为了获取知识而展开对一个问题的讨论。下面请“聆听”并 学习。

网友评论

  • 旁路电容是关注度低、没有什么魅力的元器件,一般来说,在 许多专题特写中不把它作为主题,但是,它对于成功、可靠和无差错的设计是关键。来自 Intersil 公司的作者 David Ritter 和 Tamara Schmitz 参加了关于该主题的进一步对话。