fft vhdl源代码

时间:2014-06-19 09:55:32
【文件属性】:
文件名称:fft vhdl源代码
文件大小:63KB
文件格式:ZIP
更新时间:2014-06-19 09:55:32
fft vhdl 用于FFT的VHDL语言的源代码 比较全面功能也是 但我的老师说相对来说也复杂些
【文件预览】:
divide.vhd
rblock.vhd
butter_lib.vhd
synth_test.vhd
comm.txt
swap.vhd
synth_fft
----divide.vhd(1KB)
----rblock.vhd(541B)
----butter_lib.vhd(3KB)
----synth_test.vhd(13KB)
----comm.txt(12B)
----swap.vhd(2KB)
----rom_ram.vhd(552B)
----mult.vhd(832B)
----iod_staged.vhd(1KB)
----print.vhd(1KB)
----and_gates.vhd(1003B)
----FLOAT2.PIF(967B)
----IEEE_TO_.PIF(967B)
----summer.vhd(1KB)
----counter.vhd(814B)
----normalize.vhd(2KB)
----controller.vhd(3KB)
----result.txt(544B)
----synth_main.vhd(12KB)
----multiply.vhd(2KB)
----cycles_but.vhd(1KB)
----simili.lst(89KB)
----baseindex.vhd(2KB)
----negate.vhd(823B)
----ram_shift.vhd(798B)
----ram.vhd(1KB)
----rom.vhd(1KB)
----but.vhd(761B)
----lblock.vhd(523B)
----mux_add.vhd(571B)
----mux_but.vhd(585B)
----subtractor.vhd(2KB)
----romadd_gen.vhd(2KB)
----out_result.vhd(624B)
----shift2.vhd(1KB)
----FLOAT_RE.TXT(370B)
----ioadd.vhd(1012B)
----control2.vhd(3KB)
----stage.vhd(663B)
----dff.vhd(627B)
rom_ram.vhd
mult.vhd
iod_staged.vhd
print.vhd
and_gates.vhd
FLOAT2.PIF
IEEE_TO_.PIF
summer.vhd
counter.vhd
normalize.vhd
controller.vhd
result.txt
synth_main.vhd
multiply.vhd
cycles_but.vhd
simili.lst
baseindex.vhd
negate.vhd
ram_shift.vhd
ram.vhd
rom.vhd
but.vhd
lblock.vhd
mux_add.vhd
mux_but.vhd
subtractor.vhd
romadd_gen.vhd
out_result.vhd
shift2.vhd
搜电网说明.txt
FLOAT_RE.TXT
ioadd.vhd
control2.vhd
stage.vhd
dff.vhd

网友评论