FPGA驱动VGA接口的VHDL语言实现

时间:2015-05-20 15:15:26
【文件属性】:

文件名称:FPGA驱动VGA接口的VHDL语言实现

文件大小:8KB

文件格式:TXT

更新时间:2015-05-20 15:15:26

FPGA VGA 驱动 VHDL

library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity vgacore is Port ( clk : in std_logic; reset : in std_logic; md : in std_logic_vector(1 downto 0); hs : out std_logic; vs : out std_logic; r : out std_logic_vector(1 downto 0); g : out std_logic_vector(2 downto 0); b : out std_logic_vector(2 downto 0) ); end vgacore;


网友评论

  • 资料不错!!谢谢楼主~~~
  • 看了之后很有帮助的
  • 显示接口,实用
  • 显示接口,看看和分辨率有关吗。
  • 内容还不错。有参考的价值。