A/D转化芯片ADS1115芯片程序

时间:2015-02-01 03:54:21
【文件属性】:
文件名称:A/D转化芯片ADS1115芯片程序
文件大小:19KB
文件格式:C
更新时间:2015-02-01 03:54:21
ads1115 本程序控制芯片为C8051F310,实现对两路片ADS1115共5路AD信号的采集控制,调试成功。其中c8051f310单片机实现一路频率输出及频率采集处理。 本程序对ADS1115芯片的开发有很大的帮助

网友评论

  • 程序不错,很容易看懂 呵呵!谢谢分享
  • 好东西,很实用
  • 还可以吧 感觉少了点
  • 程序要修改?!
  • 十分具有借鉴意义~~~
  • 这个代码需要修改的地方很多才能用啊!
  • 程序还有点问题,不能直接用
  • 程序可以使用,要看懂程序
  • 程序可用,只稍微修改一点点即可满足要求,对于初次使用ADS1115的人来说还是很有帮助的。
  • 程序很详细,不过就是格式规范问题,软件好像不怎么好用.
  • 程序不错,很容易看懂 呵呵
  • 我怎么没成功呢
  • 有一定借鉴意义!感谢~
  • 程序还是有一定的借鉴意义,希望更多注解
  • 俺测试不成功!可能是芯片问题!用示波器看芯片对指令无反映!
  • 程序有问题 根本就不能用
  • 有可移植性,注释有些少看了一会才明白
  • 程序不错,就是对于初学者来说注释比较少有点费劲
  • 程序很详细
  • 程序很详细,不过就是格式规范问题。
  • 嗯,同上,还好啦,仔细看,模拟时序不错
  • 确实有点乱。
  • 程序非常详细,要耐心看
  • 后面很多无关的程序
  • 程序准备移植到AVR上不知可以么
  • 有可移植性,还是可以用的
  • 写的有点乱,自己还得好好看看~
  • 挺好,只是自己用还要移植,好麻烦。能给个430上的程序么?
  • 程序准备移植到430上,但是CSDN没有积分了,尽快评论来搞积分,所以程序没有实际测试,估计还行吧。这个程序是驱动两个ADS1115,程序有点复杂,但是很全面,ADS1115的集中通道都有对应的驱动函数,不过没有用到内部比较器和警报信号。没有用单片机的串口I2C总线接口,自己写的时序,移植比较方便,但是程序明显是和百度文库上面的那个需要10积分的程序一模一样,有点抄袭的嫌疑哦!
  • 是详细的程序,不过确实有点乱。没怎么模块化。