常见的51IP核代码

时间:2012-07-15 10:06:22
【文件属性】:
文件名称:常见的51IP核代码
文件大小:385KB
文件格式:RAR
更新时间:2012-07-15 10:06:22
51IP核 vhdl verilog fpga ic 几个网上最常见的硬件描述语言编写的51ip核工程文件,可以用于mcu数字IC的设计
【文件预览】:
mc8051
----tb()
--------mc8051_ramx_sim.vhd(5KB)
--------tb_mc8051_tmrctr_.vhd(4KB)
--------tb_mc8051_alu_sim_cfg.vhd(4KB)
--------tb_mc8051_alu_sim.vhd(60KB)
--------mc8051_ram_sim.vhd(5KB)
--------mc8051_ramx_sim_cfg.vhd(4KB)
--------mc8051_rom_.vhd(4KB)
--------tb_mc8051_core_sim.vhd(8KB)
--------tb_mc8051_alu_.vhd(4KB)
--------tb_mc8051_tmrctr_sim.vhd(28KB)
--------tb_mc8051_core_.vhd(4KB)
--------tb_mc8051_top_sim.vhd(8KB)
--------tb_mc8051_siu_sim_cfg.vhd(4KB)
--------tb_mc8051_tmrctr_sim_cfg.vhd(4KB)
--------tb_mc8051_top_sim_cfg.vhd(4KB)
--------tb_mc8051_top_.vhd(4KB)
--------mc8051_rom_sim_cfg.vhd(4KB)
--------tb_mc8051_siu_.vhd(4KB)
--------mc8051_ram_sim_cfg.vhd(4KB)
--------mc8051_ramx_.vhd(5KB)
--------tb_mc8051_core_sim_cfg.vhd(4KB)
--------mc8051_ram_.vhd(5KB)
--------mc8051_rom_sim.vhd(5KB)
--------tb_mc8051_siu_sim.vhd(24KB)
----msim()
--------tc1.asm(4KB)
--------tc1.hex(1KB)
--------keil.hex(733B)
--------mc8051_wave.do(4KB)
--------tc1.dua(4KB)
--------mc8051_sim.do(102B)
--------mc8051_rom.dua(4KB)
--------regs.log(2KB)
--------write2file.do(4KB)
--------mc8051_compile.do(2KB)
--------keil.dua(2KB)
--------readme.txt(1KB)
--------hex2dual.c(6KB)
----version.txt(386B)
----dc()
--------db()
--------reports()
--------log()
--------scr()
--------lib()
----synpl()
--------mc8051_core.prj(2KB)
----vhdl()
--------addsub_core_struc_cfg.vhd(5KB)
--------mc8051_tmrctr_rtl_cfg.vhd(4KB)
--------addsub_cy_.vhd(5KB)
--------mc8051_siu_.vhd(5KB)
--------dcml_adjust_rtl_cfg.vhd(4KB)
--------alucore_rtl_cfg.vhd(4KB)
--------control_fsm_rtl_cfg.vhd(4KB)
--------alumux_.vhd(7KB)
--------mc8051_control_.vhd(8KB)
--------addsub_cy_rtl.vhd(5KB)
--------comb_divider_rtl_cfg.vhd(4KB)
--------control_mem_rtl_cfg.vhd(4KB)
--------alucore_.vhd(5KB)
--------mc8051_core_struc_cfg.vhd(5KB)
--------control_fsm_rtl.vhd(97KB)
--------LGPL.TXT(26KB)
--------mc8051_siu_rtl_cfg.vhd(4KB)
--------mc8051_alu_struc.vhd(8KB)
--------dcml_adjust_rtl.vhd(7KB)
--------dcml_adjust_.vhd(5KB)
--------mc8051_p.vhd(42KB)
--------comb_mltplr_rtl_cfg.vhd(4KB)
--------mc8051_top_.vhd(6KB)
--------mc8051_top_struc.vhd(8KB)
--------control_mem_rtl.vhd(45KB)
--------mc8051_siu_rtl.vhd(50KB)
--------comb_mltplr_rtl.vhd(5KB)
--------mc8051_control_struc.vhd(11KB)
--------comb_divider_rtl.vhd(6KB)
--------alucore_rtl.vhd(7KB)
--------control_mem_.vhd(10KB)
--------mc8051_alu_.vhd(5KB)
--------addsub_core_.vhd(5KB)
--------mc8051_tmrctr_.vhd(5KB)
--------addsub_ovcy_.vhd(5KB)
--------mc8051_core_.vhd(7KB)
--------mc8051_tmrctr_rtl.vhd(29KB)
--------comb_mltplr_.vhd(4KB)
--------addsub_core_struc.vhd(6KB)
--------mc8051_alu_struc_cfg.vhd(5KB)
--------alumux_rtl.vhd(18KB)
--------mc8051_top_struc_cfg.vhd(4KB)
--------comb_divider_.vhd(5KB)
--------addsub_ovcy_rtl_cfg.vhd(4KB)
--------control_fsm_.vhd(6KB)
--------mc8051_control_struc_cfg.vhd(4KB)
--------mc8051_core_struc.vhd(11KB)
--------addsub_cy_rtl_cfg.vhd(4KB)
--------addsub_ovcy_rtl.vhd(7KB)
--------alumux_rtl_cfg.vhd(4KB)
----vss()
----docu()
--------comp_orig_ipcore.pdf(44KB)
--------mc8051_overview.pdf(84KB)
--------mc8051_user_guide.pdf(140KB)

网友评论

  • 还不错吧,有一定的借鉴意义
  • 里面就是一个MC8051IP核啊
  • 下载了。看看还不错。VHDL语言的
  • 挺有用的东西。